当前位置: 首页 > news >正文

知识储备-DC综合相关

DC flow相关

要点描述命令区别
DC基础版,使用wireloadmodelcompile_ultra
DCT

含DC所有非冲突feature(如wlm),按照DC-prefloorplan-DCT流程获取布局信息更精确评估时序收敛

dc_shell -topo
DCG含DCT所有feature,多了layer和congestion信息等

dc_shell -topo

compile_ultra -spg

综合前设定准备

库设定

  • lib2db lib(文本格式)转db(内部格式),包含了各个门级单元的行为、引脚、面积以及时序信息。
  • link_library 中间虚拟存在,在setup中设置包含具体的库和IP
  • synthetic_library综合库,相比工艺库粒度粗一点的综合设计库,一个集合(如dw)
  • mem的db/occ等基础IP的db
  • symbol_library 定义了单元电路显示的Schematic的库,符号库的后缀是.sdb
  • search_path设定

读入设计

  • filelist文件顺序,尤其是宏相关顺序;
  • 不同文件类型混合 verilog/vhd/sv + rtl/netlist/ddc(inc)

约束tcl设定

环境设置(多来自工艺库需求)

fullchip 环境设置:set_host_options -max_cores 1(设处理核数,决定跑的快慢)/
                              set_max_area(面积)/set_critical_range(逻辑优化努力程度)/
                              set_timing_derate量化(乘数因子)补偿工艺偏差
                              set_max_fanout(扇出)/set_max_transition/set_max_capacitance

时钟

  • 时序约束 clock(create/generate/相位关系设计/clock_uncertainty[skew/jitter]/margin/virtual clk/set_clock_group[-asynchronous > -physically_exclusive > -logically_exclusive]/set_multi_cycle)
  • set_clock_delay 调整相位,错开竞争冒险,比如mux时钟相位切换 ;

Path:pin2reg/reg2pin/pin2pin/reg2reg

  • pin2reg, set_input_delay & set_drv
  • reg2pin, set_ouput_delay & set_load (output path)
  • pin2pin, set_virtual clk & set_multicycle | set_max/min_delay
  • reg2reg-sync, set_multicyle 信号变化慢(>1clk) 约束告知工具释放走线压力、                                                 set_dont_touch, logic duplicate抑制工具优化
                           path_group设置,分组差异化设置
                           set_dont_retime
  • reg2reg-async, set_max/min_delay & (set_bus_skew & set_data_check | set_false_path)

操作命令指南

相关文章:

  • 新手村:过拟合(Overfitting)
  • # 深度学习中的学习率调度:以 PyTorch 图像分类为例
  • Java 开发瓶颈破局:飞算 JavaAI 如何一站式生成标准化项目结构?
  • 云贝餐饮 最新 V3 独立连锁版 全开源 多端源码 VUE 可二开
  • C++面向对象特性之继承篇
  • 生物计算安全攻防战:从DNA存储破译到碳基芯片防御体系重构
  • PowerQuery汇总整个文件夹中的数据
  • DC-2寻找Flag1、2、3、4、5,wpscan爆破、git提权
  • python:mido 提取 midi文件中某一音轨的音乐数据
  • 容器修仙传 我的灵根是Pod 第7章 傀儡秘术(StatefulSet)
  • 电控---DMP库
  • Java 加密与解密:从算法到应用的全面解析
  • 深入解析 Linux 系统中库的加载机制:从静态链接到动态运行时
  • 序章:写在前面
  • 进行网页开发时,怎样把function()中变量值在控制台输出,查看?
  • 意见反馈留言二维码制作
  • neo4j中节点内的名称显示不全解决办法(如何让label在节点上自动换行)
  • Discuz!与DeepSeek的AI融合:打造智能网址导航新体验——以“虎跃办公”为例
  • 树莓派超全系列教程文档--(42)树莓派config.txt旧版配置HDMI和杂项选项
  • Javase 基础入门 —— 04 继承
  • 复旦大学校长金力:将配套出台多项政策推动科技成果转化
  • 更好发挥汽车产业在扩投资促消费方面的带动作用!陈吉宁调研上海车展
  • 夜读丨一条鱼的使命
  • 对话地铁读书人|媒体人Echo:读书使人远离“班味”
  • 王毅将出席中国一中亚外长第六次会晤、金砖国家外长会晤和第十五次金砖国家安全事务高级代表会议
  • 宫崎骏电影《幽灵公主》4K修复版定档五一