当前位置: 首页 > news >正文

#systemverilog# 进程控制问题#(八)关于#0 问题的使用(三)

今天,我们继续研究一下上一节讨论的问题。其实,还有一个小问题,我们来探讨一下。

`timescale 1ns/10psmodule tb_top();
reg clk;
reg reset;initial begin
reset = 0;
#10 reset = 1;
#15 reset = 0; 
#50 $finish;
endinitial beginfor(int i = 0; i < 4 ; i++)fork #2 $display("this is %0d thread!", i);join_nonefork #1 $display("this is main thread!");join_nonefork$display("this is 1 main thread!");join_none#0 $display("this is 2 main thread!");
endinitial begin clk =0; forever #3 clk = ~clk; endendmodule

关键点解释

  1. fork-join_none

    • 不会阻塞主线程的执行

    • 所有并行线程会立

相关文章:

  • shell脚本
  • 基于Ubuntu22.04和OpenCV4.5.4的物联网人脸识别考勤机
  • SpringBoot私人西服系统开发与设计
  • FreeRTOS任务通知
  • linux如何手动设置域名与 IP 地址的映射关系
  • iOS 冷启动时间监控:启动起点有哪些选择?
  • 从零构建 Vue3 登录页:结合 Vant 组件与 Axios 实现完整登录功能
  • 【Datawhale Al春训营】气象预测(AI+航空安全)竞赛笔记
  • ProjectChrono安装
  • Oracle 19c部署之手工建库(四)
  • Axios的使用
  • 选择 iOS 按键精灵无根有根越狱辅助工具的理由
  • 【Spring Boot】MyBatis入门:连接Mysql数据库、测试单元、连接的常见错误
  • PyTorch深度学习框架60天进阶学习计划 - 第45天:神经架构搜索(二)
  • Netlink套接字
  • Starrocks 数据均衡DiskAndTabletLoadReBalancer的实现
  • DBeaver连接hive
  • DasViewer是什么?如何安装?
  • AI 组件库是什么?如何影响UI的开发?
  • 华为OD机试真题——最长的顺子(2025A卷:100分)Java/python/JavaScript/C++/C语言/GO六种最佳实现
  • 艺术与医学的对话,瑞金医院办了一个展览
  • 政治局会议深度|提出“设立新型政策性金融工具”有何深意?
  • 美联合健康集团高管枪杀案嫌疑人对谋杀指控不认罪
  • 本周看啥|在电影院里听民谣,听摇滚,燥起来吧
  • 2025全国知识产权宣传周:用AI生成的图片要小心什么?
  • 对排出黑烟车辆出具合格报告,广州南沙一检测公司被罚十万元