当前位置: 首页 > news >正文

DSP48E2 的 MAC模式功能仿真


DSP48E2 仿真代码:
测试的功能为 P i = ( A + D ) ∗ B + P i − 1 P_{i} = (A+D) * B + P_{i-1} Pi=(A+D)B+Pi1

`timescale 1ns / 1nsmodule dsp_tb;// 输入reg CLK;reg CE;reg SCLR;reg signed [26:0] A, D;reg signed [17:0]  B;// 输出wire signed [47:0] P;parameter period = 5; // 100MHzparameter num_test = 104;// 测试轮数always #period CLK=!CLK;// DSP 初始化initial beginCLK = 0;CE = 0;SCLR = 1;A = 0;B = 0;D = 0;#(period*2);CE = 1;SCLR = 0;end// 测试激励生成integer i=0;always #10 begin//A = (1 << 26) - 1;//D = 0;//B = (1 << 16) - 1;A = $random % (1 << 18);D = $random % (1 << 8);B = $random % (1 << 8);if(i==num_test+1)begin#period $stop;end else begini=i+1;endend        reg signed [26:0] A_4r, D_4r, A_3r, D_3r, A_2r, D_2r, A_r, D_r;reg signed [17:0] B_r, B_2r, B_3r, B_4r; integer num_correct=0, num_error=0; // 记录正确个数和错误个数wire signed [47:0] P_ref;reg signed [47:0] P_ref_r;wire result;       // 参考结果生成assign P_ref=(i<4)?0: (A_4r + D_4r)* B_4r + P_ref_r;assign result=(P_ref!=P);// 打印测试logalways@(posedge CLK)if(SCLR)begin{A_4r,D_4r, B_4r, A_3r,D_3r, B_3r, A_2r,D_2r, B_2r, A_r,D_r, B_r}<=0;P_ref_r <= 0;num_correct <= 0;num_error   <= 0;end elsebegin// 打拍同步延迟{A_4r,D_4r,B_4r}<={A_3r, D_3r, B_3r};{A_3r,D_3r,B_3r}<={A_2r, D_2r, B_2r};{A_2r, D_2r, B_2r}<={A_r, D_r, B_r};{A_r, D_r, B_r}<={A, D, B}; P_ref_r <= P_ref;// 结果比对和打印if(i>=5 && i<=num_test)begin // 前四个输出P和P_ref的时序未同步,故不算$write("[%d]: (%d + %d)* %d + %d = %d, P_ref:%d, ",i-5,A_4r, D_4r, B_4r, P_ref_r, P, P_ref);if(result==1'b0)begin$display("Pass :)");num_correct <= num_correct + 1;end else begin$display("Fail :(");num_error <= num_error + 1;endend else begin$display("Accuracy:%d/%d=%d%%", num_correct,num_test-4,num_correct*100/(num_test-4));endend// 实例化待测模块dsp_macro_0 uut(.CLK(CLK),.CE(CE),.SCLR(SCLR),.A(A),.B(B),.D(D),.P(P));   endmodule

相关文章:

  • 线段树合并与分解
  • 从外卖大战看O2O新趋势:上门私厨平台系统架构设计解析
  • power bi获取局域网内共享文件
  • Kubernetes》》k8s》》Taint 污点、Toleration容忍度
  • dummy cli-tool ubuntu22.04使用
  • QT:自定义ComboBox
  • MySQL 表的约束(二)
  • vs2022解决 此项目需要MFC库。从visual studio安装程序(单个组件选项卡)为正在使用的任何工具和体系结构安装他们问题
  • 【AI生产力工具】Windsurf,一款AI编程工具
  • 目标检测YOLO实战应用案例100讲- 无人机平台下露天目标检测与计数
  • 新能源汽车声纹监测技术的发展趋势是什么?
  • [Linux运维] [Ubuntu/Debian]在Lightsail Ubuntu服务器上安装Python环境的完整指南
  • ETL架构、数据建模及性能优化实践
  • 开源模型应用落地-全能音频新纪元-Kimi-Audio-7B-Instruct-重塑多模态交互边界
  • 网络原理 - 10(HTTP/HTTPS - 1)
  • LBS服务(基于位置的服务)与LLM(大型语言模型)交互功能分析
  • 为什么PPT中的视频无法播放?
  • 【Robocorp实战指南】Python驱动的开源RPA框架
  • 分析整体文档集合质量:来源多样性、内容新鲜度、信息密度、内容具体性
  • JVM——引入
  • 第二艘国产大型邮轮实现坞内起浮,重点强化邮轮供应链本土化建设
  • 非法收受财物逾1648万,湖南原副厅级干部康月林一审被判十年半
  • 5月动漫|“爱死机”即将回归,《明末》或是下一个大IP?
  • 只在上海!德国剧团新作亚洲首演:一张古典与流行的声音网络
  • 中共中央政治局召开会议,分析研究当前经济形势和经济工作,中共中央总书记习近平主持会议
  • 4500万失能人员如何养老?没参保是否能享受长护师服务?