当前位置: 首页 > news >正文

时序逻辑电路——序列检测器

文章目录

  • 一、序列检测
  • 二、牛客真题
    • 1. 输入序列连续的序列检测(输入连续、重叠、不含无关项、串行输入)
    • 写法一:移位寄存器
    • 写法二:Moore状态机
    • 写法三:Mealy状态机


一、序列检测

  序列检测器指的就是将一个指定的序列(以‘10010’为例)从数字码流中识别出来,是一个经典的数字电路实例,也是数字IC和FPGA笔试面试中常考的知识点。写法总共可分为三种:
写法:

  • 移位寄存器
  • Moore状态机
  • Mealy状态机

  常考的题目类型有以下特点,可能取其一类型进行拷打,也可能多个类型进行结合。比如说输入非连续且并行输入,最终需要提取出某个非重叠序列。
题目类型:

  • 输入连续/非连续,非连续输入会有使能信号valid
  • 重叠/非重叠序列检测
  • 含无关项/不含无关项,比如说检测输入信号a是否满足011XXX110序列(长度为9位数据,前三位是011,后三位是110,中间三位不做要求)
  • 串行输入/并行输入,比如说并行输入2bit数据

二、牛客真题

1. 输入序列连续的序列检测(输入连续、重叠、不含无关项、串行输入)

  以牛客上比较简单的题目VL25 输入序列连续的序列检测,介绍三种写法。
在这里插入图片描述

写法一:移位寄存器

module sequence_detect(input clk,input rst_n,input a,output reg match);reg [7:0] shift_reg;always @(posedge clk or negedge rst_n)beginif(~rst_n)beginshift_reg <= 'd0;endelse beginshift_reg <= {shift_reg[6:0], a};endendalways @(posedge clk or negedge rst_n)beginif(~rst_n)beginmatch <= 1'b0;endelse if(shift_reg==8'b0111_0001)beginmatch <= 1'b1;endelse beginmatch <= 1'b0;endend
endmodule

写法二:Moore状态机

module sequence_detect(input clk,input rst_n,input a,output reg match);localparam idle  = 'd0;localparam s0    = 'd1;localparam s1    = 'd2;localparam s2    = 'd3;localparam s3    = 'd4;localparam s4    = 'd5;localparam s5    = 'd6;localparam s6    = 'd7;localparam detect= 'd8;reg [3:0] curr_state;reg [3:0] next_state;always @(posedge clk or negedge rst_n)beginif(~rst_n)curr_state <= idle;else curr_state <= next_state;endalways @(*)begincase(curr_state)idle    : next_state = (a==1'b0)?s0     :idle   ;s0      : next_state = (a==1'b1)?s1     :s0     ;s1      : next_state = (a==1'b1)?s2     :s0     ;s2      : next_state = (a==1'b1)?s3     :s0     ;s3      : next_state = (a==1'b0)?s4     :idle   ;s4      : next_state = (a==1'b0)?s5     :s1     ;s5      : next_state = (a==1'b0)?s6     :s1     ;s6      : next_state = (a==1'b1)?detect :s0     ;detect  : next_state = (a==1'b1)?s3     :s0     ;default : next_state = idle;endcaseendalways @(posedge clk or negedge rst_n)beginif(~rst_n)match <= 1'b0;else if(curr_state==detect)match <= 1'b1;elsematch <= 1'b0;endendmodule

写法三:Mealy状态机

  注意:牛客上仿真需要用的是Moore状态机,因此Mealy状态机仿真结果的match会提前一个周期到来.

module sequence_detect(input clk,input rst_n,input a,output reg match);localparam idle  = 'd0;localparam s0    = 'd1;localparam s1    = 'd2;localparam s2    = 'd3;localparam s3    = 'd4;localparam s4    = 'd5;localparam s5    = 'd6;localparam s6    = 'd7;reg [2:0] curr_state;reg [2:0] next_state;always @(posedge clk or negedge rst_n)beginif(~rst_n)curr_state <= idle;else curr_state <= next_state;endalways @(*)begincase(curr_state)idle    : next_state = (a==1'b0)?s0     :idle   ;s0      : next_state = (a==1'b1)?s1     :s0     ;s1      : next_state = (a==1'b1)?s2     :s0     ;s2      : next_state = (a==1'b1)?s3     :s0     ;s3      : next_state = (a==1'b0)?s4     :idle   ;s4      : next_state = (a==1'b0)?s5     :s1     ;s5      : next_state = (a==1'b0)?s6     :s1     ;s6      : next_state = (a==1'b1)?s1     :s0     ;default : next_state = idle;endcaseendalways @(posedge clk or negedge rst_n)beginif(~rst_n)match <= 1'b0;else if(curr_state==s6 && a==1'b1)match <= 1'b1;elsematch <= 1'b0;endendmodule

相关文章:

  • 如何提高单元测试的覆盖率
  • PC主板及CPU ID 信息、笔记本电脑唯一 MAC地址获取
  • 目标检测综述
  • 深度解析生成对抗网络:原理、应用与未来趋势
  • 三维点拟合平面ransac c++
  • MCP 协议:AI 世界的 “USB-C 接口”,开启智能交互新时代
  • 管家婆财贸ERP BB095.销售单草稿自动填充组合品明细
  • Python 的 pip 命令详解,涵盖常用操作和高级用法
  • Vue 3.0 Composition API 与 Vue 2.x Options API 的区别
  • (论文阅读)RNNoise 基于递归神经网络的噪声抑制库
  • 频率合成方法及其实现原理
  • 嵌入式linux架构理解(宏观理解)6ull学习心得---从架构理解到自写程序运行及自写程序开机自启动
  • 几个常用的快速处理服务器命令和故障排查
  • 每天学一个 Linux 命令(20):find
  • MySQL Limit 分页查询性能问题及优化方案
  • 配电监控DLT645电表数据 转EthernetIP项目案例
  • 2024年RIS SCI2区:自适应天鹰算法AAO,深度解析+性能实测
  • 如何开一个线上故障复盘会
  • DeepSeek 部署中的常见问题及解决方案
  • Windows 图形显示驱动开发-WDDM 1.2功能—Windows 8 中的 DirectX 功能改进(五)
  • 能源央企资产重组大提速,专业化整合掀起新热潮
  • 谁在向张福生行贿?
  • 同程旅行斥资24.9亿元收购万达酒管:“看好中国酒店管理市场的增长潜力”
  • 韩国国会未通过“内乱特检法”
  • 接续《莱茵的黄金》,国家大剧院带来7国艺术家的《女武神》
  • 黄仁勋时隔3个月再次到访北京