当前位置: 首页 > news >正文

Vivado版本升级后AXI4-Stream Data FIFO端口变化

Vivado 2017.4版本中异步AXI4-Stream Data FIFO升级到Vivado 2018.3后,IP管脚会发生变化,2018.3版中没有m_axis_aresetn和axis_data_count。

async_axis_fifo_8_1024 async_axis_fifo_8_1024 (

  .s_axis_aresetn    (I_do0_rstn        ), // input wire s_axis_aresetn

 // .m_axis_aresetn    (S_rs422_rstn      ), // input wire m_axis_aresetn

  .s_axis_aclk       (I_work_clk        ), // input wire s_axis_aclk

  .s_axis_tvalid     (I_do0_axis_tvalid ), // input wire s_axis_tvalid

  .s_axis_tready     (O_do0_axis_tready ), // output wire s_axis_tready

  .s_axis_tdata      (I_do0_axis_tdata  ), // input wire [7 : 0] s_axis_tdata

  .m_axis_aclk       (I_rs422_clk       ), // input wire m_axis_aclk

  .m_axis_tvalid     (S_do0_axis_tvalid ), // output wire m_axis_tvalid

  .m_axis_tready     (S_do0_axis_tready ), // input wire m_axis_tready

  .m_axis_tdata      (S_do0_axis_tdata  ), // output wire [7 : 0] m_axis_tdata

 // .axis_data_count   (),        // output wire [31 : 0] axis_data_count

  .axis_wr_data_count(),  // output wire [31 : 0] axis_wr_data_count

  .axis_rd_data_count()  // output wire [31 : 0] axis_rd_data_count

);

相关文章:

  • centos7使用yum快速安装最新版本Jenkins-2.462.3
  • IDEA将本地的JAR文件手动安装到 Maven的本地仓库
  • AI日报 - 2025年04月25日
  • 数据库day-07
  • VS Code 智能代理模式:重塑开发体验
  • NOIP2013 提高组.转圈游戏
  • Explain详解与索引最佳实践
  • 利用deepseek快速生成甘特图
  • 32单片机——外部中断
  • 基于 springboot+vue+elementui 的办公自动化系统设计(
  • 代码注释标记的含义
  • 图论算法体系:并查集、生成树、排序与路径搜索全解析
  • CVE-2024-23897-Jenkins 2.441之前版本存在任意文件读取漏洞
  • GLM-4V:多模态大模型在图像识别领域的突破性实践
  • 如何解析商品详情页面
  • Spark-streaming核心编程
  • 甘特图Vue3 | 原生绘制
  • leetcode 69和367
  • 构造函数体赋值和初始化列表
  • 面试题:在1亿个数据中取前10个最大的数据(Java实现)
  • 最新研究挑战男性主导说:雌性倭黑猩猩联盟对付雄性攻击,获得主导地位
  • 上海4-6月文博美展、剧目演出不断,将开设直播推出文旅优惠套餐
  • 吃菜和吃肉,哪个更“增肌”?
  • 央行副行长陆磊:国际化程度有效提升是上海国际金融中心建设的一个主要方向
  • 贵州省交通运输厅原副厅长冯伟已任遵义市领导
  • 美国务卿宣布将对美国务院进行全面重组