Vivado版本升级后AXI4-Stream Data FIFO端口变化
Vivado 2017.4版本中异步AXI4-Stream Data FIFO升级到Vivado 2018.3后,IP管脚会发生变化,2018.3版中没有m_axis_aresetn和axis_data_count。
async_axis_fifo_8_1024 async_axis_fifo_8_1024 (
.s_axis_aresetn (I_do0_rstn ), // input wire s_axis_aresetn
// .m_axis_aresetn (S_rs422_rstn ), // input wire m_axis_aresetn
.s_axis_aclk (I_work_clk ), // input wire s_axis_aclk
.s_axis_tvalid (I_do0_axis_tvalid ), // input wire s_axis_tvalid
.s_axis_tready (O_do0_axis_tready ), // output wire s_axis_tready
.s_axis_tdata (I_do0_axis_tdata ), // input wire [7 : 0] s_axis_tdata
.m_axis_aclk (I_rs422_clk ), // input wire m_axis_aclk
.m_axis_tvalid (S_do0_axis_tvalid ), // output wire m_axis_tvalid
.m_axis_tready (S_do0_axis_tready ), // input wire m_axis_tready
.m_axis_tdata (S_do0_axis_tdata ), // output wire [7 : 0] m_axis_tdata
// .axis_data_count (), // output wire [31 : 0] axis_data_count
.axis_wr_data_count(), // output wire [31 : 0] axis_wr_data_count
.axis_rd_data_count() // output wire [31 : 0] axis_rd_data_count
);